From 4bf3d54f2df4948793a9dae7d8e9da97002649e3 Mon Sep 17 00:00:00 2001 From: DrNuget Date: Mon, 6 Oct 2025 04:29:45 +0300 Subject: vimrc fix another typo in the vhdl main code macro --- .config/nvim/init.lua | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to '.config/nvim/init.lua') diff --git a/.config/nvim/init.lua b/.config/nvim/init.lua index 228fa03..f7e1fe9 100644 --- a/.config/nvim/init.lua +++ b/.config/nvim/init.lua @@ -58,7 +58,7 @@ vim.cmd([=[ autocmd Filetype vhdl inoremap sr shift_right() autocmd Filetype vhdl inoremap sl shift_left() autocmd Filetype vhdl inoremap pr process() isbegin<++>end process;3k0f)i - autocmd Filetype vhdl inoremap im library ieee;use ieee.standard_logic_1164.all;use ieee.numeric_std.all;entity <++> isport ();end <++>;architecture <++> of <++> isbeginend architecture;:%s/<++>//g + autocmd Filetype vhdl inoremap im library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity <++> isport ();end <++>;architecture <++> of <++> isbeginend architecture;:%s/<++>//g " C Macros autocmd Filetype c,c++,arduino inoremap im int main(){return 0;} -- cgit v1.2.3