From 83d4f1d8a3ac77c03856ba07211d59b7915eee4b Mon Sep 17 00:00:00 2001 From: DrNuget Date: Thu, 6 Nov 2025 19:41:31 +0200 Subject: vimrc add assembly boilerplate macro --- .config/nvim/init.lua | 3 +++ 1 file changed, 3 insertions(+) (limited to '.config/nvim') diff --git a/.config/nvim/init.lua b/.config/nvim/init.lua index 12f279b..ebdc8a5 100644 --- a/.config/nvim/init.lua +++ b/.config/nvim/init.lua @@ -60,6 +60,9 @@ vim.cmd([=[ autocmd Filetype vhdl inoremap pr process() isbegin<++>end process;3k0f)i autocmd Filetype vhdl inoremap im library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity <++> isport ();end <++>;architecture <++> of <++> isbeginend architecture;:%s/<++>//g + " Assembly Macros + autocmd Filetype asm inoremap im .intel_syntax noprefix.global _start_start: + " C Macros autocmd Filetype c,c++,arduino inoremap im int main(){return 0;} autocmd Filetype c,c++,arduino inoremap i #include <> -- cgit v1.2.3