From 87c567c8743f4a0a0cbe895b3ef9e32e8cda0182 Mon Sep 17 00:00:00 2001 From: DrNuget Date: Mon, 10 Nov 2025 20:16:52 +0200 Subject: vimrc assembly macro reject intel syntax --- .config/nvim/init.lua | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to '.config') diff --git a/.config/nvim/init.lua b/.config/nvim/init.lua index ebdc8a5..af3648a 100644 --- a/.config/nvim/init.lua +++ b/.config/nvim/init.lua @@ -61,7 +61,7 @@ vim.cmd([=[ autocmd Filetype vhdl inoremap im library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity <++> isport ();end <++>;architecture <++> of <++> isbeginend architecture;:%s/<++>//g " Assembly Macros - autocmd Filetype asm inoremap im .intel_syntax noprefix.global _start_start: + autocmd Filetype asm inoremap im .global _start_start: " C Macros autocmd Filetype c,c++,arduino inoremap im int main(){return 0;} -- cgit v1.2.3