From 791bdf68e3598b764af05146204c0c44d5b7ab83 Mon Sep 17 00:00:00 2001 From: DrNuget Date: Fri, 21 Nov 2025 10:31:11 +0200 Subject: vimrc more vhdl macros --- .config/nvim/init.lua | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/.config/nvim/init.lua b/.config/nvim/init.lua index e50eea4..b619db8 100644 --- a/.config/nvim/init.lua +++ b/.config/nvim/init.lua @@ -60,6 +60,13 @@ vim.cmd([=[ autocmd Filetype vhdl inoremap sr shift_right() autocmd Filetype vhdl inoremap sl shift_left() autocmd Filetype vhdl inoremap pr process() isbegin<++>end process;3k0f)i + autocmd Filetype vhdl inoremap for for in <++> to <++> loopend loop;< std_logic_vector(to_unsigned(, <++>))F,i + autocmd Filetype vhdl inoremap vi to_integer(unsigned())hi + autocmd Filetype vhdl inoremap uv std_logic_vector()i + autocmd Filetype vhdl inoremap vu unsigned()i + autocmd Filetype vhdl inoremap iu to_unsigned(, <++>)F,i + autocmd Filetype vhdl inoremap ui to_integer()i autocmd Filetype vhdl inoremap im library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity <++> isport ();end <++>;architecture <++> of <++> isbeginend architecture;:%s/<++>//g " Assembly Macros -- cgit v1.2.3