From fcb8cd0e37f3914d04cc67e619247c034b75a305 Mon Sep 17 00:00:00 2001 From: DrNuget Date: Sun, 5 Oct 2025 22:22:01 +0300 Subject: vimrc add VHDL process macro --- .config/nvim/init.lua | 1 + 1 file changed, 1 insertion(+) diff --git a/.config/nvim/init.lua b/.config/nvim/init.lua index dd0d289..b296005 100644 --- a/.config/nvim/init.lua +++ b/.config/nvim/init.lua @@ -57,6 +57,7 @@ vim.cmd([=[ autocmd Filetype vhdl inoremap re rising_edge()i autocmd Filetype vhdl inoremap sr shift_right() autocmd Filetype vhdl inoremap sl shift_left() + autocmd Filetype vhdl inoremap pr process() isbegin<++>end process;3k0f)i autocmd Filetype vhdl inoremap im library ieee;use ieee.standard_logic_1164.all;use ieee.numeric_std.all;entity <++> isport ();end lfsr;architecture <++> of <++> isbeginend architecture;:%s/<++>//g " C Macros -- cgit v1.2.3