summaryrefslogtreecommitdiff
path: root/.config/nvim
diff options
context:
space:
mode:
authorDrNuget <drnuget@outlook.com>2025-10-05 22:22:01 +0300
committerDrNuget <drnuget@outlook.com>2025-10-05 22:22:01 +0300
commitfcb8cd0e37f3914d04cc67e619247c034b75a305 (patch)
tree5d595127f003c0541844718eb443639b117767e0 /.config/nvim
parent6e961c81becda6c853abf33b71a03a7d83bc4ab8 (diff)
downloaddotfiles-fcb8cd0e37f3914d04cc67e619247c034b75a305.tar.gz
vimrc add VHDL process macro
Diffstat (limited to '.config/nvim')
-rw-r--r--.config/nvim/init.lua1
1 files changed, 1 insertions, 0 deletions
diff --git a/.config/nvim/init.lua b/.config/nvim/init.lua
index dd0d289..b296005 100644
--- a/.config/nvim/init.lua
+++ b/.config/nvim/init.lua
@@ -57,6 +57,7 @@ vim.cmd([=[
autocmd Filetype vhdl inoremap re<tab> rising_edge()<esc>i
autocmd Filetype vhdl inoremap sr<tab> shift_right()<left>
autocmd Filetype vhdl inoremap sl<tab> shift_left()<left>
+ autocmd Filetype vhdl inoremap pr<tab> process() is<enter>begin<enter><++><enter>end process;<esc>3k0f)i
autocmd Filetype vhdl inoremap im<tab> library ieee;<enter>use ieee.standard_logic_1164.all;<enter>use ieee.numeric_std.all;<enter><enter>entity <++> is<enter>port (<enter>);<enter>end lfsr;<enter><enter>architecture <++> of <++> is<enter>begin<enter>end architecture;<esc>:%s/<++>//g<left><left>
" C Macros