diff options
| author | DrNuget <drnuget@outlook.com> | 2025-11-06 19:41:31 +0200 |
|---|---|---|
| committer | DrNuget <drnuget@outlook.com> | 2025-11-06 19:41:31 +0200 |
| commit | 83d4f1d8a3ac77c03856ba07211d59b7915eee4b (patch) | |
| tree | 2fa011fc3d8ca197dcfb72608708118c8bb1928d /.config | |
| parent | 5aa2c19523177a2c1a7951e2db65bd54e5a988fd (diff) | |
| download | dotfiles-83d4f1d8a3ac77c03856ba07211d59b7915eee4b.tar.gz | |
Diffstat (limited to '.config')
| -rw-r--r-- | .config/nvim/init.lua | 3 |
1 files changed, 3 insertions, 0 deletions
diff --git a/.config/nvim/init.lua b/.config/nvim/init.lua index 12f279b..ebdc8a5 100644 --- a/.config/nvim/init.lua +++ b/.config/nvim/init.lua @@ -60,6 +60,9 @@ vim.cmd([=[ autocmd Filetype vhdl inoremap pr<tab> process() is<enter>begin<enter><++><enter>end process;<esc>3k0f)i autocmd Filetype vhdl inoremap im<tab> library ieee;<enter>use ieee.std_logic_1164.all;<enter>use ieee.numeric_std.all;<enter><enter>entity <++> is<enter>port (<enter>);<enter>end <++>;<enter><enter>architecture <++> of <++> is<enter>begin<enter>end architecture;<esc>:%s/<++>//g<left><left> + " Assembly Macros + autocmd Filetype asm inoremap im<tab> .intel_syntax noprefix<enter>.global _start<enter>_start:<enter> + " C Macros autocmd Filetype c,c++,arduino inoremap im<tab> int main()<enter>{<enter><enter>return 0;<enter>}<up><up><tab> autocmd Filetype c,c++,arduino inoremap i<tab> #include <><left> |
