summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorDrNuget <drnuget@outlook.com>2025-10-06 04:29:45 +0300
committerDrNuget <drnuget@outlook.com>2025-10-06 04:29:45 +0300
commit4bf3d54f2df4948793a9dae7d8e9da97002649e3 (patch)
tree3aeb49c1e85c95fbc2cccb89e521b2bfaa2fee68
parentdc5030c9b08990fe6192f1f221b5061314e93c3a (diff)
vimrc fix another typo in the vhdl main code macro
-rw-r--r--.config/nvim/init.lua2
1 files changed, 1 insertions, 1 deletions
diff --git a/.config/nvim/init.lua b/.config/nvim/init.lua
index 228fa03..f7e1fe9 100644
--- a/.config/nvim/init.lua
+++ b/.config/nvim/init.lua
@@ -58,7 +58,7 @@ vim.cmd([=[
autocmd Filetype vhdl inoremap sr<tab> shift_right()<left>
autocmd Filetype vhdl inoremap sl<tab> shift_left()<left>
autocmd Filetype vhdl inoremap pr<tab> process() is<enter>begin<enter><++><enter>end process;<esc>3k0f)i
- autocmd Filetype vhdl inoremap im<tab> library ieee;<enter>use ieee.standard_logic_1164.all;<enter>use ieee.numeric_std.all;<enter><enter>entity <++> is<enter>port (<enter>);<enter>end <++>;<enter><enter>architecture <++> of <++> is<enter>begin<enter>end architecture;<esc>:%s/<++>//g<left><left>
+ autocmd Filetype vhdl inoremap im<tab> library ieee;<enter>use ieee.std_logic_1164.all;<enter>use ieee.numeric_std.all;<enter><enter>entity <++> is<enter>port (<enter>);<enter>end <++>;<enter><enter>architecture <++> of <++> is<enter>begin<enter>end architecture;<esc>:%s/<++>//g<left><left>
" C Macros
autocmd Filetype c,c++,arduino inoremap im<tab> int main()<enter>{<enter><enter>return 0;<enter>}<up><up><tab>