diff options
| author | DrNuget <drnuget@outlook.com> | 2025-11-21 10:31:11 +0200 |
|---|---|---|
| committer | DrNuget <drnuget@outlook.com> | 2025-11-21 10:31:11 +0200 |
| commit | 791bdf68e3598b764af05146204c0c44d5b7ab83 (patch) | |
| tree | 5eb4d14eba49e93076814dd3d8fcadeddddc9ba2 | |
| parent | 9dbe63d8f8eb239a12519247e45505e2fb3b4a5b (diff) | |
| download | dotfiles-791bdf68e3598b764af05146204c0c44d5b7ab83.tar.gz | |
vimrc more vhdl macros
| -rw-r--r-- | .config/nvim/init.lua | 7 |
1 files changed, 7 insertions, 0 deletions
diff --git a/.config/nvim/init.lua b/.config/nvim/init.lua index e50eea4..b619db8 100644 --- a/.config/nvim/init.lua +++ b/.config/nvim/init.lua @@ -60,6 +60,13 @@ vim.cmd([=[ autocmd Filetype vhdl inoremap sr<tab> shift_right()<left> autocmd Filetype vhdl inoremap sl<tab> shift_left()<left> autocmd Filetype vhdl inoremap pr<tab> process() is<enter>begin<enter><++><enter>end process;<esc>3k0f)i + autocmd Filetype vhdl inoremap for<tab> for in <++> to <++> loop<enter>end loop;<esc><<k2bf a + autocmd Filetype vhdl inoremap iv<tab> std_logic_vector(to_unsigned(, <++>))<esc>F,i + autocmd Filetype vhdl inoremap vi<tab> to_integer(unsigned())<esc>hi + autocmd Filetype vhdl inoremap uv<tab> std_logic_vector()<esc>i + autocmd Filetype vhdl inoremap vu<tab> unsigned()<esc>i + autocmd Filetype vhdl inoremap iu<tab> to_unsigned(, <++>)<esc>F,i + autocmd Filetype vhdl inoremap ui<tab> to_integer()<esc>i autocmd Filetype vhdl inoremap im<tab> library ieee;<enter>use ieee.std_logic_1164.all;<enter>use ieee.numeric_std.all;<enter><enter>entity <++> is<enter>port (<enter>);<enter>end <++>;<enter><enter>architecture <++> of <++> is<enter>begin<enter>end architecture;<esc>:%s/<++>//g<left><left> " Assembly Macros |
